New Articles
  March 1st, 2023 | Written by

Applied Materials’ Innovative Pattern-Shaping Technology Reduces the Cost, Complexity and Environmental Impact of Advanced Chip Manufacturing

[shareaholic app="share_buttons" id="13106399"]

Applied Materials, Inc. today unveiled a breakthrough in patterning technology that allows chipmakers to create high-performance transistors and interconnect wiring with fewer EUV lithography steps, thereby lowering the cost, complexity and environmental impact of advanced chipmaking.

Customers increasingly use EUV double patterning to print chip features smaller than the resolution limits of EUV to optimize chip area and cost. Using EUV double patterning, chipmakers split a high-density pattern in half and produce two masks that adhere to the resolution limits of EUV. Both halves of the pattern are combined on intermediate patterning films and then etched into the wafer. While double patterning is effective at increasing feature density, it adds design and patterning complexity along with process steps that consume time, energy, materials and water – and increase the cost of wafer fabs and wafer production.

Introducing the Applied Materials Centura® Sculpta® Patterning System

To help chipmakers continue shrinking designs without the added cost, complexity, and energy and materials consumption of EUV double patterning, Applied Materials worked closely with leading customers to develop the Centura Sculpta patterning system. Chipmakers can now print a single EUV pattern and then use the Sculpta system to elongate the shapes in any chosen direction to reduce the space between features and increase pattern density. Because the final pattern is created from a single mask, design cost and complexity are reduced, and the yield risk from double-patterning alignment errors is eliminated.

EUV double patterning requires a number of added manufacturing process steps that generally include CVD patterning film deposition, CMP cleaning, photoresist deposition and removal, EUV lithography, eBeam metrology, patterning film etching and wafer cleaning. For each EUV double patterning sequence it replaces, the Sculpta system can provide chipmakers with:

  • Capital cost savings of approximately $250 million per 100K wafer starts per month of production capacity
  • Manufacturing cost savings of approximately $50 per wafer
  • Energy savings of more than 15 kwh per wafer
  • Direct greenhouse gas emissions reduction of more than 0.35 kg of CO2 equivalent per wafer
  • Water savings of approximately 15 liters per wafer

The Sculpta system is receiving high interest from leading chipmakers and has been selected as a production tool of record for multiple steps in high-volume logic manufacturing.

Additional information about Applied’s Sculpta system will be discussed at the company’s “New Ways to Shrink: Advanced Patterning Products Launch” event being held today.

About Applied Materials

Applied Materials, Inc. (Nasdaq: AMAT) is the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations make possible a better future.